A re-order buffer is used in a Tomasulo algorithm for out of order instruction execution. It allows instructions to be committed in-order.

Additional benefits include allowing for precise exceptions and easy rollback for control target address mispredictions (branch or jump). There are 31 entries in the CS152DreamTeam implementation of the Tomasulo algorithm for a RISC architecture.